Effect of Distributed Shield Insertion on Crosstalk in Inductively Coupled VLSI Interconnects

نویسندگان

  • Divya Mishra
  • Shailendra Mishra
  • Praggya Agnihotry
  • Brajesh Kumar Kaushik
چکیده

Crosstalk in VLSI interconnects is a major constrain in DSM and UDSM technology. Among various strategies followed for its minimization, shield insertion between Aggressor and Victim is one of the prominent options. This paper analyzes the extent of crosstalk in inductively coupled interconnects and minimizes the same through distributed shield insertion. Comparison is drawn between signal voltage and crosstalk voltage in three different conditions i.e. prior to shield insertion, after shield insertion and after additional ground tap insertion at shield terminal.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Comprehensive Evaluation of Crosstalk and Delay Profiles in VLSI Interconnect Structures with Partially Coupled Lines

In this paper, we present a methodology to explore and evaluate the crosstalk noise and the profile of its variations, and the delay of interconnects through investigation of two groups of interconnect structures in nano scale VLSI circuits. The interconnect structures in the first group are considered to be partially coupled identical lines. In this case, by choosing proper values for differen...

متن کامل

Crosstalk Minimization for Coupled Rlc Interconnects Using Bidirectional Buffer and Shield Insertion

Crosstalk noise is often induced in long interconnects running parallel to each other. There is a need to minimize the effect of these crosstalk noise so as to maintain the signal integrity in interconnects. In this paper crosstalk noise is minimized using various techniques such as repeater (bidirectional buffer) insertion along with shielding, skewing and shielding & skewing simultaneously. W...

متن کامل

Effect of Equal and Mismatched Signal Transition Time on Power Dissipation in Global Vlsi Interconnects

High density chips have introduced problems like crosstalk noise and power dissipation. The mismatching in transition time of the inputs occurs because different lengths of interconnects lead to different parasitic values. This paper presents the analysis of the effect of equal and unequal (mismatched) transition time of inputs on power dissipation in coupled interconnects. Further, the effect ...

متن کامل

Crosstalk Minimization in VLSI Interconnects

Received Apr 2, 2013 Revised May 28, 2013 Accepted Jun 14, 2013 Crosstalk noise is often induced in long interconnects running parallel to each other. There arises a need to minimize the effect of these crosstalk noise so as to maintain the signal integrity in interconnects. So in this paper crosstalk noise is minimized using various techniques such as repeater (bidirectional buffer) insertion ...

متن کامل

Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects For Ramp Input

-In order to accurately model high frequency affects, inductance has been taken into consideration. No longer can interconnects be treated as mere delays or lumped RC networks. In that frequency range, the most accurate simulation model for on-chip VLSI interconnects is the distributed RLC model. Unfortunately, this model has many limitations at much higher of operating frequency used in today’...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • CoRR

دوره abs/1006.2820  شماره 

صفحات  -

تاریخ انتشار 2010